• 首页 首页 icon
  • 工具库 工具库 icon
    • IP查询 IP查询 icon
  • 内容库 内容库 icon
    • 快讯库 快讯库 icon
    • 精品库 精品库 icon
    • 问答库 问答库 icon
  • 更多 更多 icon
    • 服务条款 服务条款 icon

FPGA的哈希表设计

武飞扬头像
cyf123456k
帮助1

1.前言

散列表(Hash table,也叫哈希表),根据根关键键值来直接访问的数据结构。也就是说,将键码通过某种方式映射到表中一个位置来访问记录。这种映射方式便称为散列函数(哈希函数),而存放记录的结构也称为散列表。也就是说给定表,存在一个函数使得,输入的每一个关键码都可以得到一个可以存放在表中的地址。

2.基本知识

2.1 哈希函数

通常根据不同的场景,需要采用不同的哈希函数,通常考虑的因素有以下几个方面:
1)哈希函数计算的时间
2)关键字的大小
3)哈希表的大小
4)关键字的分布情况

2.1.1 直接寻址法

直接取关键字的部分作为散列地址。如H(key) = a * key b (a,b均为常数)

2.1.2 数字分析法

根据关键码的分布情况,在尽量减小冲突的情况下构造哈希函数。

2.1.3 除留余数法

取关键字被某个不大于散列表表长m的数p除后所得的余数为散列地址。即 H(key) = key MOD p,p<=m。不仅可以对关键字直接取模,也可在折叠、平方取中等运算之后取模。对p的选择很重要,一般取素数或m,若p选的不好,容易产生同义词。

2.2 处理冲突

通常我们把不同的关键码通过哈希函数得到的散列地址可能存在相同的情况,叫做冲突。为了解决冲突我们通常会采取以下的一些方法。

这篇好文章是转载于:学新通技术网

  • 版权申明: 本站部分内容来自互联网,仅供学习及演示用,请勿用于商业和其他非法用途。如果侵犯了您的权益请与我们联系,请提供相关证据及您的身份证明,我们将在收到邮件后48小时内删除。
  • 本站站名: 学新通技术网
  • 本文地址: /boutique/detail/tanhgfhbae
系列文章
更多 icon
同类精品
更多 icon
继续加载