• 首页 首页 icon
  • 工具库 工具库 icon
    • IP查询 IP查询 icon
  • 内容库 内容库 icon
    • 快讯库 快讯库 icon
    • 精品库 精品库 icon
    • 问答库 问答库 icon
  • 更多 更多 icon
    • 服务条款 服务条款 icon

Vivado 2021.2版本和VCS/Verdi 2018版本联合仿真

武飞扬头像
FPGA干货店
帮助1

Vivado 2021.2版本与VCS/Verdi 2018版本联合仿真


前言

最近想在工作站上安装vivado2022.1 新版本软件并与新版本的vcs2020和verdi2020进行联合仿真,但发现没有最新license问题,不能联合仿真。 所以目前是以vivado2021.2版本与vcs2018和verdi2018进行联合仿真。

系统环境:Centos 7

Vivado安装流程:
◆网页链接下载安装

Synopsys 工具的安装流程一般为:
◆ 安装 installer 工具,用于启动安装 Synopsys 工具
◆ 安装具体的 IC 工具,例如 VCS、Verdi 等工具
◆ 安装 SCL 工具, 用于统一管理 License
◆ 修改环境设置,激活工具

注意:软件安装都是以root权限去安装。
//***********************************************************************************************************************************//

一、软件版本与链接

1.vivado软件

由于我是直接从网页版下载,链接:vivado2021.2

学新通

2.Synopsys 软件

VCS及Verdi相关软件:提取码:x3v5,链接
学新通
//***********************************************************************************************************************************//

二、Vivado 2021.2安装

1.下载linux版本的网页安装文件后,执行:

./Xilinx_Unified_2021.1_0420_0327_Lin64.bin

2.安装页面进行一步步的安装,最后下载完成后安装:
学新通
学新通
学新通
学新通
学新通
学新通

学新通
//***********************************************************************************************************************************//

三、Synopsys相关软件安装

1.Synopsys Installer安装

Synopsys为它的其他EDA软件工具能够安装,提供一个名叫installer安装器,所以要首先对这个installer安装器进行安装。

(1)执行./setup.sh -install_as_root,对installer安装器进行安装;
学新通

2.VCS软件安装

找到synopsys installer的安装目录,执行该目录下的./setup.sh文件,开始对VCS软件进行安装;
学新通
学新通
学新通
该位置对应的是安装VCS的源文件路径;
学新通
该位置对应的是VCS安装路径;
学新通
以下选择安装选项,点击Next即可;
学新通
学新通

学新通
针对SCL和Verdi的软件都按照VCS软件安装的流程即可,都是一样的。
//***********************************************************************************************************************************//

四、环境变量设置

当以上软件都安装完成后,需要设置环境变量如下:
(可以将环境变量写入到自己用户下的.bashrc文件中,也可以root权限写入到/etc/profile文件中,能够使其他用户使用~~~~)

################################################################################################################
#
#VCS2018 VERDI2018
#
#########
#synosys tolls list

export DVE_HOME=/tools/synopsys_tools/vcs_2018/vcs-mx/O-2018.09-SP2/gui/dve
export VCS_HOME=/tools/synopsys_tools/vcs_2018/vcs-mx/O-2018.09-SP2
export VERDI_HOME=/tools/synopsys_tools/verdi_2018/verdi/Verdi_O-2018.09-SP2
export SCL_HOME=/tools/synopsys_tools/scl_2018/scl/2018.06

export LD_LIBRARY_PATH=/tools/synopsys_tools/verdi_2018/verdi/Verdi_O-2018.09-SP2/share/PLI/VCS/LINUX64
#dev
export PATH=$PATH:$VCS_HOME/gui/dve/bin
alias  dve="dve"
#vcs
export PATH=$PATH:$VCS_HOME/bin
alias  vcs="vcs"
#verdi 
export PATH=$PATH:$VERDI_HOME/bin
alias  verdi="verdi"
#scl
export PATH=$PATH:$SCL_HOME/linux64/bin
export VCS_ARCH_OVERRIDE=linux

学新通

//***********************************************************************************************************************************//

五、Vivado和VCS联合仿真

1.Vivdao仿真库编译

打开vivado软件,点击Tools–>Compile Simulation Libraries;
(1)Simulator选择:VCS;
(2)Compile library location:设置你需要编译仿真库的位置(该位置你自己定义);
(3)Simulator executable path:设置仿真执行文件的路径,即安装VCS目录下的执行指令位置;
(4)Command:当你选择完成后,会在此Command的窗口上显示对应的tcl指令,需要copy出来使用tcl指令界面编译;
原因在于:正常的话我们直接点击Compile进行编译就可以了,但是直接编译后会产生systemc的编译错误;是由于vivado2021.2版本与vcs2018的版本不匹配问题造成。在ug900文档中明确描述vivado2021.2版本对应VCS的版本是2020.12,由于license问题所以选择vcs2018的版本;虽然目前与官方的版本不匹配,但是不影响正常使用;
学新通
使用tcl界面查询compile_simlib指令使用;
学新通
将上述的Command编译指令copy下来后,再添加-no_systemc_compile选项进行编译,就开始对Vivado的仿真库进行编译;
学新通
学新通

2.Vivado配置第三方仿真软件

打开vivado软件点击Tools–>Settings–>3rd Party Simulation,设置
(1)Install Paths–>VCS:VCS软件执行的路径;
(2)Default Compile Library Path :选择上一小节编译库的位置。
学新通

3.Vivado工程与VCS的联合仿真

(1)波形文件语句添加
如果要使用VCS软件打开波形,需要在仿真文件中添加以下语句:

initial
begin
    $dumpfile("tb.vcd");
    $dumpvars();
end

如果要使用Verdi软件打开波形,需要在仿真文件中添加以下语句:

initial
begin
    $fsdbDumpfile("tb.fsdb");
    $fsdbDumpvars();
end

(2)vivado直接仿真方式:
在PROJECT MANAGER界面上选择SIMULATION–>Run Simulation–>Run Behavioral Simulation,会直接调取DVE的波形;
(3)脚本仿真方式:
在打开的工程中选择File–>Export Simulation

学新通
将脚本导出来后,发现工程目录下多了一个VCS文件夹;
学新通
在VCS目录下有一个*.sh脚本文件,仿真直接执行该脚本就可以。
学新通
////
//
//

总结

1.使用vivado2021.02与VCS2020版本的所有联合编译,最后都是因为没有可使用的licnese放弃,改使用VCS2018版本;
2.在使用vivado2021.02编译VCS仿真库时一定要加-no_systemc_compile选项进行编译,否则编译会出现systemc的错误,主要也是版本不匹配问题;
学新通
3.后续会更新全部脚本化编译方式,很少使用Vivado软件界面。

这篇好文章是转载于:学新通技术网

  • 版权申明: 本站部分内容来自互联网,仅供学习及演示用,请勿用于商业和其他非法用途。如果侵犯了您的权益请与我们联系,请提供相关证据及您的身份证明,我们将在收到邮件后48小时内删除。
  • 本站站名: 学新通技术网
  • 本文地址: /boutique/detail/tanhgfajae
系列文章
更多 icon
同类精品
更多 icon
继续加载