• 首页 首页 icon
  • 工具库 工具库 icon
    • IP查询 IP查询 icon
  • 内容库 内容库 icon
    • 快讯库 快讯库 icon
    • 精品库 精品库 icon
    • 问答库 问答库 icon
  • 更多 更多 icon
    • 服务条款 服务条款 icon

EDA课设(数字系统设计)--quartusII 9.0安装和altera usb-blaster驱动识别失败解决

武飞扬头像
望525
帮助1

目录

1,资源下载及quartus II 9.0的下载

2,建立一个测试工程;

3,编写VHDL程序和仿真文件

4,altera usb-blaster驱动识别失败解决


1,资源下载及quartus II 9.0的下载

链接:https://pan.百度.com/s/1TXNG2aM4-7KR9Fx93zwgfQ 
提取码:s83x

提取完成,解压之后文件夹目录如下图:

学新通

安装程序在目录:数字系统设计实验\实验\Quartus软件及教程\Quartus安装软件,双击setup.exe,以管理员身份运行;

学新通

运行之后按如下的图片进行操作:点击next;

学新通

 选择I accept the terms of the license agreement;

学新通

这里可以随便输入; 

学新通

选择安装的路径;

学新通

 选择工程文件夹,可以随便选一个;

学新通

选择complete; 

学新通

点击next;

学新通

 等待安装完成;

学新通

选择是; 

学新通

 选择取消即可;

学新通

将目录:数字系统设计实验下的license.DAT文件复制到安装目录:altera1\90下;

学新通 学新通

运行桌面上的32bit的quartusII,按下图操作;

选择第3个;

学新通

点击红色框,选择刚才复制过来的license.DAT文件;

学新通

学新通

 选择tools里面的license setup;

学新通

 复制NIC的第一个也就是红色框的内容到生成的license.DAT文件中(以记事本方式打开);

学新通

 将liscense.DAT中的两个红色框中的xxx换成刚才复制的内容后保存;

学新通

 之后再打开tools里面的liscense setup可以看到已经操作成功;

学新通

2,建立一个测试工程;

 操作如下的图片所示;

选择file,new project;

学新通

 点击next;

学新通

下图第一栏是工程保存的位置,第二栏是工程名字,第三栏是顶层文件实体的名字(后面的实体必须与该名字一样)这里建立一个名为h_adder的半加器工程文件;

学新通

 因为对应目录下没有project1这个文件夹所以提示创建一个名为project1的文件夹,选择是;

学新通

点击next;

学新通

选择对应的芯片,我学校发的是EPF10K20TC144-4,所以我选择它,然后点击next; 

学新通

 点击next,然后完成;

学新通学新通

3,编写VHDL程序和仿真文件

 选择file,VHDL file;

学新通

复制如下代码到文件中;

  1.  
    library ieee;
  2.  
    use ieee.std_logic_1164.all;
  3.  
    entity h_adder is
  4.  
    port(
  5.  
    A : in std_logic;
  6.  
    B : in std_logic;
  7.  
    SO: out std_logic;
  8.  
    CO: out std_logic);
  9.  
    end entity h_adder;
  10.  
    architecture text of h_adder is
  11.  
    begin
  12.  
    SO <= A xor B;
  13.  
    CO <= A and B;
  14.  
    end architecture text;

点击下图红色框的三角形运行,点击保存;

学新通

 注意红色框保存的文件名需要与前面创建工程文件时第三栏的h_adder一致;

学新通

 如果编译出现问题,比如将VHDL里面的实体名称改为h_add则会出现下图的顶层文件实体未定义的错误;

学新通

 解决方法是将Assignments的Device里面的General里的顶层文件实体改成与VHDL文件里面的实体h_add一致,或者将VHDL文件里面的实体h_add改成与顶层文件实体h_adder一致,这两种都可以:

学新通

学新通

比如用第一种; 

学新通

 创建VHDL文件之后,再创建仿真文件,选择Vector Waveform file;

学新通

 创建之后,选择该文件,双击红色框的空白处;

学新通

点击Node Finder; 学新通

 按下图的步骤操作,再点击ok;

学新通

添加完成后,按下图操作;

学新通

 效果如下图;

学新通

 选择process里面的simulator;

学新通

 选择功能仿真functional;

学新通

 点击generate functional simulator netlist,然后点击保存;

学新通

 下滑点击start,完成后点击report; 

学新通

 最后的结果如下图,根据输入,可知测试没有问题;

学新通

4,altera usb-blaster驱动识别失败解决

altera usb-blaster的驱动程序在目录:数字系统设计实验\altera-usb-blaster 驱动程序 for win10\Altera-usb-blaster;

学新通

部分电脑因为驱动程序的数字签名问题,无法识别altera usb-blaster的驱动,所以需要禁用电脑的数字签名验证,从而成功的运行altera usb-blaster驱动;

具体操作如下的图片所示;

在设置搜索重置此电脑;

学新通

选择高级启动,重新启动电脑;

学新通

选择疑难解答;

学新通

选择高级选项 ;

学新通

 然后重启;

学新通

 后面会进入输入密匙的界面,可以找电脑客服询问相关操作,得到密匙之后,输入正确密匙后,选择禁用驱动程序强制签名,再重新启动即可;

这篇好文章是转载于:学新通技术网

  • 版权申明: 本站部分内容来自互联网,仅供学习及演示用,请勿用于商业和其他非法用途。如果侵犯了您的权益请与我们联系,请提供相关证据及您的身份证明,我们将在收到邮件后48小时内删除。
  • 本站站名: 学新通技术网
  • 本文地址: /boutique/detail/tanhgfafhe
系列文章
更多 icon
同类精品
更多 icon
继续加载